はてブログ

はてなブックマーク新着エントリーの過去ログサイトです。



タグ FPGA

新着順 人気順 5 users 10 users 50 users 100 users 500 users 1000 users
 
(1 - 25 / 36件)

RustでOSを書いた

2022/12/13 このエントリーをはてなブックマークに追加 106 users Instapaper Pocket Tweet Facebook Share Evernote Clip rust Chisel RISC-V RISC-V CPU

はじめに RISC-V CPU を FPGA 上に実装して、マイクロカーネル OS を Rust で書いて動かしてみました。 CPU について RISC-VとChiselで学ぶ はじめてのCPU自作 に沿って RISC-V の CPU を作り、機能をエンハンスしました。 乗除算命令、RVC命令、ビット拡張命令の一部を追加 7段パイプライン化 DRAM コントローラ 4KB命... 続きを読む

Nintendo Switchからデジタル音声を「直接」取り出す。FPGAで! - Zopfcode

2020/08/14 このエントリーをはてなブックマークに追加 159 users Instapaper Pocket Tweet Facebook Share Evernote Clip Zopfcode バババババ Switch 手持ち ひどいこと

Switchはイヤホン出力の音がひどいことで有名だ*1。 ブズズズズ………バババババ…… と熱雑音では明らかに説明できない周辺回路のお気持ちが音となって伝わってくる。 そこでUSB DACを使いたいのだが、どうも手持ちだとハイエンド機に限って動かない*2。別なやり方でデジタル音声を取り出さなければ。 取り出し方は様々ある... 続きを読む

「サイゼリヤで1000円あれば最大何kcal摂れるのか」を自作CPU上で解いてみた | カオスの坩堝

2019/05/27 このエントリーをはてなブックマークに追加 188 users Instapaper Pocket Tweet Facebook Share Evernote Clip 坩堝 サイゼリヤ Verilog カオス プロセッサ

サイゼリヤに1000円を持って食事に言ったとき、どの料理を頼めば最大何kcalの食事をすることができるかを、FPGAに構築した自作CPU上で計算しました。 自作CPU 学校の演習課題としてFPGA上でCPU(プロセッサ)を作成しました。具体的には、PowerMedusaボードを利用し、このボード上にあるFPGAをVerilogを用いてプロセッサ... 続きを読む

インテル、Google、マイクロソフトらが高速インターコネクトの新規格「Compute Express Link」(CXL)を発表。GPUやFPGAなどを活用するための業界標準へ - Publickey

2019/03/12 このエントリーをはてなブックマークに追加 135 users Instapaper Pocket Tweet Facebook Share Evernote Clip インターコネクト Publickey HPE EMC シスコ

インテル、Google、マイクロソフトらが高速インターコネクトの新規格「Compute Express Link」(CXL)を発表。GPUやFPGAなどを活用するための業界標準へ インテルやGoogle、マイクロソフト、シスコ、HPE、Dell EMC、アリババ、ファーウェイらは、CPUとメモリやGPU、FPGAなどを高速に接続するインターコネクトのオープン... 続きを読む

FPGA超入門 - 仕組みと開発方法 - ジャズとエンジニア

2018/11/05 このエントリーをはてなブックマークに追加 325 users Instapaper Pocket Tweet Facebook Share Evernote Clip ジャズ エンジニア 開発方法 集積回路 FPGA開発

私はFPGAの専門ではありませんが、数年前から仕事でFPGA開発の依頼を受けるようになりました。周りに経験者もほとんどおらず試行錯誤しながらなんとか幾つかの依頼をこなしてきましたので、超初心者目線でFPGAの仕組みと開発方法に関してまとめてみます。 FPGAとは 集積回路の中のFPGA FPGAの仕組み FPGAの開発 FPGAの開... 続きを読む

ソフトウェア技術者から見たFPGAの魅力と可能性

2018/03/31 このエントリーをはてなブックマークに追加 78 users Instapaper Pocket Tweet Facebook Share Evernote Clip ISP ソフトウェア技術者 魅力 所属 独立系

ソフトウェア技術者から見たFPGAの魅力と可能性 1. ソフトウェア技術者から見た FPGAの魅力と可能性 満田 賢一郎 (株)システム計画研究所/ISP 2018/01/19 第20回 東京FPGAカンファレンス2018with プログラマブルデバイスプラザ 於 東京都立産業技術研究センター本部 2. はじめに:ISPの紹介 ■ 所属:(株)システム計画研究所/ISP ■ 1977年創業の独立系... 続きを読む

東大のCPU実験で自作コア上の自作OS上で自作シェルを動かした話 - yamaguchi.txt

2018/02/27 このエントリーをはてなブックマークに追加 553 users Instapaper Pocket Tweet Facebook Share Evernote Clip yamaguchi.txt 東大 CPU実験 IWA CPU

2018 - 02 - 27 東大のCPU実験で自作コア上の自作OS上で自作シェルを動かした話 FPGA 作ったもの 東大の 情報科学 科では3年の秋学期にCPU実験という、自分たちでCPU、 コンパイラ 、シミュレーターを作って レイトレーシング を動かすことが単位要件の名物実験があります。僕らの班では12月初旬に単位要件を満たすCPUは出来ていたので、2/20にあった成果報告会までの間にIwa... 続きを読む

現役大学生が語る「FPGA」の魅力&Googleインターン事情――東京大学コンピュータサークル所属 高橋祐花さん (1/3):CodeZine(コードジン)

2018/02/06 このエントリーをはてなブックマークに追加 62 users Instapaper Pocket Tweet Facebook Share Evernote Clip CodeZine ソフトウェアデベロッパー Podcast

CodeZineがお届けするPodcast「かまぷとゆうこのデベロッパーズ☆ラジオ」略して「デブ☆ラジ」。この番組では、かまたひろこと、CodeZine編集部の近藤佑子が、ソフトウェアデベロッパーのみなさんに向けて、IT技術に関するちょっといい話をお送りします。音声に加えて、ダイジェスト版の記事もお届け。第8回のゲストは、東京大学のコンピュータサークル、TSG所属の高橋祐花さん。 近藤佑子(以下、... 続きを読む

MinecraftでFPGA - kazakami_9’s diary

2017/12/16 このエントリーをはてなブックマークに追加 103 users Instapaper Pocket Tweet Facebook Share Evernote Clip Minecraft nim Adventar Nim言語

2017 - 12 - 17 MinecraftでFPGA この記事は  KMC Advent Calendar 2017 - Adventar  の17日目の記事です。 昨日の記事はCHY72さんの 競プロに疲れた人のNim言語 - (/^^)/⌒●~*$ a(){ a|a& };a  です。Nimいいですね、いいです、すごくいいです。 はじめに 今日の記事を担当します 続きを読む

ひとりでCPUとエミュレータとコンパイラを作る Advent Calendar 2017 - Qiita

2017/11/22 このエントリーをはてなブックマークに追加 490 users Instapaper Pocket Tweet Facebook Share Evernote Clip Qiita サブセット コンパイラ エミュレータ CPU

情報系と無縁だった者が、コンピュータのしくみを知るために格闘した作業ログ 半導体回路の基礎から出発し、FPGAでCPUを作る x86の命令セットを学び、エミュレータを作る C言語のサブセットを策定し、コンパイラを作る 誰かの役に立てばと思い、公開します 続きを読む

ファミコンのエミュレータを書いた - undefined

2017/09/20 このエントリーをはてなブックマークに追加 1313 users Instapaper Pocket Tweet Facebook Share Evernote Clip Qiita Flowtype undefined ファミコン

2017 - 09 - 20 ファミコンのエミュレータを書いた flowtype 概要 ファミコンのエミュレータをJSでだらだらと作ってた。そこそこ遊べるようになったので公開しておく。技術的な内容は、またどこかで発表したり、Qiitaなどにまとめたい。(忘れないうちに。需要があるかは怪しいが。) 随分昔に作ってみたいなーと思いFPGAでの実装を開始したんだけど、早々に挫折した覚えがある。今思うとF... 続きを読む

FPGAでDeep Learningしてみる - Qiita

2017/03/22 このエントリーをはてなブックマークに追加 86 users Instapaper Pocket Tweet Facebook Share Evernote Clip Qiita Xilinx Deep learning ボート

はじめに Xilinxが BNN-PYNQ というプロジェクトを公開したことにより、FPGA初心者でも簡単にDeep LearningをFPGA実行することができるようになりました。早速ボードを購入してデモ実行まで試してみました。 事前説明 PYNQ Xilinxのオープンソースプロジェクトで、XilinxのZynqに実装したFPGAロジックを、Pythonから簡単に使えるようにするためのもののよ... 続きを読む

PythonコードからFPGAを生成、深層学習したDNNをハードウェアに:機械学習/Deep Learningの仕事が増える2017年、ソフトウェアエンジニアがFPGAを学ぶべき理由 - @IT

2017/01/30 このエントリーをはてなブックマークに追加 443 users Instapaper Pocket Tweet Facebook Share Evernote Clip DNN 深層 ハードウェア ハードル 生成

PythonコードからFPGAを生成、深層学習したDNNをハードウェアに: 機械学習/Deep Learningの仕事が増える2017年、ソフトウェアエンジニアがFPGAを学ぶべき理由 ソフトウェアエンジニアがFPGAを使うハードルがさらに下がってきている。ソフトウェア開発者の立場でFPGAに取り組むイベント「FPGAエクストリーム・コンピューティング」を主宰する佐藤一憲氏、FPGAの高位合成によ... 続きを読む

Linux OSからFPGAを透過的に利用する構想。文字列処理をCPUからFPGAへオフロードで10倍速になった研究結果をミラクル・リナックスが発表 - Publickey

2016/12/04 このエントリーをはてなブックマークに追加 217 users Instapaper Pocket Tweet Facebook Share Evernote Clip Publickey ロジック 汎用プロセッサ CPU 構想

Linux OSからFPGAを透過的に利用する構想。文字列処理をCPUからFPGAへオフロードで10倍速になった研究結果をミラクル・リナックスが発表 プロセッサ内部のロジックをソフトウェアで動的に書き換えることができるFPGAは、アプリケーションごとにロジックを最適化できるため、x86などの汎用プロセッサよりも高速かつ効率的なアプリケーションの実行が可能になると注目されています。 特に、今年の1月... 続きを読む

そろそろプログラマーもFPGAを触ってみよう! - Qiita

2016/12/03 このエントリーをはてなブックマークに追加 808 users Instapaper Pocket Tweet Facebook Share Evernote Clip Qiita プログラマー

これは builderscon tokyo 2016 の発表スライドです。 自己紹介 @kazunori_279 クラウドのデベロッパー・アドボケイト エバンジェリストみたいなお仕事 コミュニティ支援:GCPUG、bq_sushi、TensorFlow User Group etc 趣味のFPGA 2013年くらいからいじり始め FPGAエクストリーム・コンピューティング 主宰:合計8回 これまで... 続きを読む

記者の眼 - ハードウエアの選択肢が急増、CPU万能時代は終焉:ITpro

2015/08/31 このエントリーをはてなブックマークに追加 54 users Instapaper Pocket Tweet Facebook Share Evernote Clip ITpro ハードウェア メインメモリー 終焉 DRAM

計算処理には「CPU」だけでなく「GPU」や「FPGA」、メインメモリーには「DRAM」や「3D XPoint」、ストレージには「ハードディスク」「NANDフラッシュ」「3D XPoint」――。現在、コンピュータの構成要素の選択肢が急増し始めている。 10年前であれば、業務アプリケーションを稼働するサーバーコンピュータでは計算処理にはCPUを、メインメモリーにはDRAMを、ストレージにはハードデ... 続きを読む

深層学習フレームワークChainerの紹介とFPGAへの期待

2015/08/02 このエントリーをはてなブックマークに追加 90 users Instapaper Pocket Tweet Facebook Share Evernote Clip Preferred networks ドワンゴ Inc 期待

Transcript of "深層学習フレームワークChainerの紹介とFPGAへの期待" 1. 深層学習フレームワーク Chainer  の紹介と FPGA  への期待 FPGA  エクストリーム・コンピューティング  第7回 2015/08/02  @  ドワンゴ  セミナールーム 得居  誠也  /  Preferred  Networks,  Inc. 2. ⾃自⼰己紹介 l  得居 ... 続きを読む

高位合成を使ってNESをFPGAで動かす - My Tips

2015/07/07 このエントリーをはてなブックマークに追加 87 users Instapaper Pocket Tweet Facebook Share Evernote Clip NES 高位合成

合計:232 本日:182 昨日:0 Contents 入門・チュートリアル Verilog入門 【Altera編】 Quartus IIのインストール DE0ボードを使った初めてのVerilog 組合せ回路編【Altera DE0】 順序回路編【Altera DE0】 設計検証編【Altera DE0】 応用編【Altera DE0】? 【Xilinx編】 ISEのインストール 評価ボードの準備... 続きを読む

MicrosoftがFPGAでDeepLearningしてた - SANMAN

2015/06/13 このエントリーをはてなブックマークに追加 61 users Instapaper Pocket Tweet Facebook Share Evernote Clip Xilinx UCLA pubs リリースノート CNN

2015-06-13 MicrosoftがFPGAでDeepLearningしてた FPGA ML Alteraのリリースノートで知ってはいたが、Microsoftリサーチのホワイトペーパーを発見した。2015年にUCLAがXilinxのFPGA使って同様のこと(CNNの実装)をしているが、それより3倍くらい性能良い模様。 http://research.microsoft.com/pubs/24... 続きを読む

インテル、プログラマブルなLSI「FPGA」大手のアルテラ買収を発表。XeonにFPGAを組み込む計画も表明 - Publickey

2015/06/01 このエントリーをはてなブックマークに追加 82 users Instapaper Pocket Tweet Facebook Share Evernote Clip Publickey アルテラ アルテラ買収 インテル 買収

インテル、プログラマブルなLSI「FPGA」大手のアルテラ買収を発表。XeonにFPGAを組み込む計画も表明 米インテルは、米アルテラの買収を発表しました。買収額は約16.7ビリオンドル(167億ドル、1ドル120円換算で2兆40億円)で、支払いはキャッシュで行われると説明されています。 アルテラは「FPGA」の大手。FPGA(Field Programmable Gate Array)とは、チッ... 続きを読む

組み込み業界へ向かう人に、自分が買ってよかったと思った技術書達 - bokuweb.me

2015/04/19 このエントリーをはてなブックマークに追加 500 users Instapaper Pocket Tweet Facebook Share Evernote Clip bokuweb.me 組み込み業界 CPU 一言 分野

もう終わりそうですけど、4月ですしこれから組み込み業界へ向かうかたへ自分がこの本よかったなーって思ったのをつらつら挙げみます。ただ、一言に『組み込み』と言っても幅広くて分野によって求められる知識は結構変わってくると思いますが、ベースは一緒だろうと思います。 ちなみに自分はCPUはRL78、Cortex-M0、Cortex-M3、Rx、SH、Cortex-A9、FPGAは最大でも7000LUT程度の... 続きを読む

ネット業界などでのFPGA化について - SANMAN

2015/03/16 このエントリーをはてなブックマークに追加 135 users Instapaper Pocket Tweet Facebook Share Evernote Clip Microsoft ソフトウェアエンジニア 次回 システム

2014-12-10 ネット業界などでのFPGA化について FPGA 勉強を兼ね、Microsoftによる検索エンジンのFPGA化についていろいろ書こうと思う。 今回はバックグラウンドやらの話。 次回はMicrosoftの実装したシステムについてFPGAよりにいろいろ書きなぐる。 無駄に図も作っている。 ひとつ言えるのはソフトウェアエンジニアでFPGA方面きたきゃOpenCLガッツリやって趣味レベ... 続きを読む

マイクロソフトはどうやってBingをFPGAで実装したか - Qiita

2014/12/08 このエントリーをはてなブックマークに追加 960 users Instapaper Pocket Tweet Facebook Share Evernote Clip baidu Qiita IoT Bing ドワンゴ

ドワンゴがニコ動の画像配信向けにFPGAエンジニアを募集したり、マイクロソフトはBingをFPGA実装したり、Baiduもディープラーニングの高速化にFPGAを導入したりと、なんだか世の中急にハードウェアくさくなってきた。IoTとは違う意味で。 金融分野ではすでにCPUでは遅すぎてFPGAによるナノ秒単位の株取引が行われているって記事を書いたのは2年前だけど、ここ数年はIntelのCPUのクロック... 続きを読む

ニュース - マイクロソフトがFPGAをデータセンターに投入、Bing検索を高速化へ:ITpro

2014/06/17 このエントリーをはてなブックマークに追加 51 users Instapaper Pocket Tweet Facebook Share Evernote Clip ITpro マイクロソフト スループット Bing 投入

米マイクロソフトは、FPGAを用いてデータセンターでの処理を高速化する技術「Catapult」を開発した。 同社の検索エンジン「Bing」のページランク処理の高速化に向けてパイロットプロジェクトを実施。サーバー1台につき1個のFPGAを用意し(写真1)、これらを合計1632台束ねてクラスターを構成。FPGA上のハードワイヤード回路でパイプライン処理することで、ページランク処理のスループットを2倍に... 続きを読む

FPGAのトレンドをまとめてみた

2014/05/13 このエントリーをはてなブックマークに追加 57 users Instapaper Pocket Tweet Facebook Share Evernote Clip Bram トレンド 概略 微細化 消費電力削減

FPGAのトレンドをまとめてみた Presentation Transcript FPGAのトレンドをなんとなく まとめてみた みよしたけふみ ! 2014.05.13 1 勝手な予想の概略 14nm→10nm→7nmの微細化で14nmが安価に 使用可能なロジック数やBRAMは現状のx2+を期待 最高動作周波数は1.15∼1.6倍 (= 1GHz+) 消費電力削減(処理性能/WはCPU比 ∼10,... 続きを読む

 
(1 - 25 / 36件)