はてブログ

はてなブックマーク新着エントリーの過去ログサイトです。



タグ 当世プロセッサー事情

新着順 人気順 5 users 10 users 50 users 100 users 500 users 1000 users
 
(1 - 22 / 22件)
 

ASCII.jp:7nmベースのRyzen APU「Renoir」を2020年に発表 AMD CPUロードマップ (1/4)|ロードマップでわかる!当世プロセッサー事情

2019/11/11 このエントリーをはてなブックマークに追加 13 users Instapaper Pocket Tweet Facebook Share Evernote Clip Ryzen 図版 AMD RENOIR 合間

AMDのRyzen 9 3950XやThreadripper 3960X/3970Xの情報も公開されたので、そろそろロードマップの図版を更新しておこう……と思って気が付いたのは、前回ロードマップを更新したのはなんと2018年1月のことだった。 2年まではいかないものの、かなり長期間放置していたことになる。もちろん合間にはちょこちょこ情報をお届け... 続きを読む

ASCII.jp:HPの命運を変えた第一世代PA-RISCの誕生 業界に多大な影響を与えた現存メーカー (1/4)|ロードマップでわかる!当世プロセッサー事情

2019/09/23 このエントリーをはてなブックマークに追加 13 users Instapaper Pocket Tweet Facebook Share Evernote Clip PCX 足掛かり 命運 道筋 ASCII.jp

CMOSベースのプロセッサー PCXの開発に成功 HPは、CS-1でCMOSワンチップ化に向けての足掛かりは作っていたものの、性能(とハッキリしないが、おそらく機能面でも足りていなかったと思われる)不足もあって製品化には至らなかったが、そこから3年かけて実用的なCMOS化への道筋が整ったようだ。 1990年、HPはPCXと呼ばれるC... 続きを読む

ASCII.jp:業界に多大な影響を与えた現存メーカー PowerPCでx86の市場を切り崩しにかかったIBM (1/4)|ロードマップでわかる!当世プロセッサー事情

2019/03/04 このエントリーをはてなブックマークに追加 15 users Instapaper Pocket Tweet Facebook Share Evernote Clip PowerPC プロセッサー インテル IBM 系譜

さて今回はAS/400のPowerPCへの移行であるが、そのPowerPCそのものを包括的に説明していないので、やや寄り道めいているが今回はPowerPCの話をメインにしていこう。 PowerPCシリーズの系譜 インテルのx86に対抗するために 開発されたプロセッサーPowerPC PowerPCの開発動機は、x86に対抗できるプロセッサーをPOWERベース... 続きを読む

ASCII.jp:AMD GPUロードマップ  Radeon VIIは超特価、原価率は2080Tiに匹敵 (1/5)|ロードマップでわかる!当世プロセッサー事情

2019/02/11 このエントリーをはてなブックマークに追加 12 users Instapaper Pocket Tweet Facebook Share Evernote Clip 匹敵 Radeon VII 原価率 ASCII.jp 超特価

前回に引き続き、1月8日に行なわれたCESの基調講演から、今回はGPU周りの話をしよう。AMDが昨年開催した発表会Next Horizonの時点での情報を元にした限りでは、Vega 7nmをゲーミングに持ってくるとは想像できなかったこともあり、連載485回の最後で「ゲーミングGPUに転用するにはやや厳しい」と書いた。 ところが、そう... 続きを読む

ASCII.jp:Globalfoundriesの7nmプロセス無期限延期がもたらす半導体業界への影響 (1/3)|ロードマップでわかる!当世プロセッサー事情

2018/09/03 このエントリーをはてなブックマークに追加 19 users Instapaper Pocket Tweet Facebook Share Evernote Clip GLOBALFOUNDRIES プレスリリース エンジニア

Globalfoundriesの大きなニュースが入ってきたので、今回はこちらを解説する。米国時間の8月27日、同社はプレスリリースを出し、この中で開発中だった7nm FinFETプロセスを無期限に延期するとともに、ここに充てていたエンジニアおよび投資を、14/12nm FinFETおよびFD-SOIに振り替えることを発表した。 微細プロセス開発... 続きを読む

ASCII.jp:業界に痕跡を残して消えたメーカー Appleに不満を抱くメンバーが立ち上げたNeXT Computer (1/3)|ロードマップでわかる!当世プロセッサー事情

2017/12/04 このエントリーをはてなブックマークに追加 28 users Instapaper Pocket Tweet Facebook Share Evernote Clip 痕跡 Apple NEXT ASCII.jp ロードマップ

一週空いたが、業界に痕跡を残して消えたメーカーをお届けしよう。今回は多くの方がご存知であろう、NeXT(NeXT Computer、NeXT Software)である。「知ってる」という方も多いとは思うが、改めて紹介したい。 Steve Jobs氏らAppleの主要メンバーが 会社を辞め、立ち上げたNext Inc. 話は1985年に遡る。当時Apple Computerの創業者にして会長だったS... 続きを読む

ASCII.jp:業界に痕跡を残して消えたメーカー CG業界を牽引したSGI (1/4)|ロードマップでわかる!当世プロセッサー事情

2017/10/23 このエントリーをはてなブックマークに追加 54 users Instapaper Pocket Tweet Facebook Share Evernote Clip SGI ワークステーション 痕跡 Unixベース Inc

今回のお題はSGI(Silicon Graphics, Inc.)である。 前々回 にも少し名前が出てきた。その前にも Cray Inc.絡み でも名前が出てきている。 UNIXベースのワークステーション「O2+」。2001年の日本で発売当時の価格は136万2000円~263万9000円 ちなみに先にお断りしておくと、SGIという会社は現在も存続している。ただしこちらの正式名称は“Silicon ... 続きを読む

ASCII.jp:業界に痕跡を残して消えたメーカー 優秀なマシンを輩出するも業績に悩まされたApollo Computer (1/3)|ロードマップでわかる!当世プロセッサー事情

2017/09/18 このエントリーをはてなブックマークに追加 16 users Instapaper Pocket Tweet Facebook Share Evernote Clip 痕跡 業績 Apollo メンション マシン

今回の業界に痕跡を残して消えたメーカーは、毛色を変えてワークステーションメーカーである。先日筆者のTwitterのタイムラインで、“HPに Apollo があるんだ。これでDomainがあれば完璧なのに”といったメンションを見かけて笑ってしまったのだが、よく考えたらこれを笑えるのは筆者と同年代だなと気が付いたので、そのApolloの話をしたい。 当時としては小型だった ワークステーション「DN10... 続きを読む

ASCII.jp:業界に痕跡を残して消えたメーカー Power MacintoshのOSになれなかった悲劇のBe (1/3)|ロードマップでわかる!当世プロセッサー事情

2017/09/04 このエントリーをはてなブックマークに追加 82 users Instapaper Pocket Tweet Facebook Share Evernote Clip 痕跡 Steve Jobs氏 Apple Computer

今回の業界に痕跡を残して消えたメーカーは前回のPalmつながりで、Be Inc.を紹介したい。話は、Apple Computer社から始まる。 1990年、Jean-Louis Gassee氏がApple Computerを退社する。もともとGassee氏は1981年からApple Computer Franceの社長の座にあった。ただ1985年にSteve Jobs氏がApple Compute... 続きを読む

ASCII.jp:業界に痕跡を残して消えたメーカー 開発ツールでMSと争ったBorland (1/2)|ロードマップでわかる!当世プロセッサー事情

2017/05/01 このエントリーをはてなブックマークに追加 31 users Instapaper Pocket Tweet Facebook Share Evernote Clip 悪戦 デンマーク 痕跡 社名 ASCII.jp

今回の業界に痕跡を残して消えたメーカーは Borland International である。同社の生い立ちはややおもしろい。 代表作の「Turbo Delphi」と「Turbo C++」 デンマークの会社が悪戦苦闘し アメリカ法人を立ち上げる Borland、最初の社名はBorland Ltd.だが、この会社はもともと、Niels Jensen氏、Ole Henriksen氏、Mogens Gl... 続きを読む

ASCII.jp:業界に痕跡を残して消えたメーカー メモリー領域確保で世話になった「QEMM」のQuarterDeck (1/3)|ロードマップでわかる!当世プロセッサー事情

2017/04/17 このエントリーをはてなブックマークに追加 16 users Instapaper Pocket Tweet Facebook Share Evernote Clip DesQ 痕跡 思い入れ 筆者 ASCII.jp

今回の業界に痕跡を残して消えたメーカーは QuarterDeck を紹介する。いったいどういう基準で会社を選んでいるんだ? と聞かれたのでお答えすると、筆者の個人的な思い入れの部分が少なからず絡んでいる。QuarterDeckは、それはもう大変にお世話になったので、今回はここを取り上げたい。 メモリー管理ツール「Desq」 オフィス関連の謎の企業が 複数のアプリを同時に稼働させるツールを開発 Qu... 続きを読む

ASCII.jp:業界に痕跡を残して消えたメーカー MS-DOS誕生のきっかけとなったOS「CP/M」を生みだしたDigital Research (1/3)|ロードマップでわかる!当世プロセッサー事情

2017/04/10 このエントリーをはてなブックマークに追加 50 users Instapaper Pocket Tweet Facebook Share Evernote Clip MS-DOS 痕跡 MS-DOS時代 ASCII.jp ご存知

今回紹介するのは、かなり有名というか、MS-DOS時代のユーザーはご存知であろう Digital Research だ。Digital Researchを知らなくてもCP/Mをご存知の方は多いだろうが、このCP/Mの開発・販売元がDigital Researchである。 MS-DOSが真似たOSとして有名なCP/M 創業者はGary Arlen Kildall氏であるが、実はDigital Res... 続きを読む

ASCII.jp:業界に痕跡を残して消えたメーカー サウンドカードでCreativeと競ったMedia Vision (1/3)|ロードマップでわかる!当世プロセッサー事情

2017/01/09 このエントリーをはてなブックマークに追加 12 users Instapaper Pocket Tweet Facebook Share Evernote Clip Creative 痕跡 建前上 新年最初 経営陣

新年最初の、業界に痕跡を残して消えたメーカーは、 Media Vision と Aureal Semiconductor を取り上げたい。建前上は別のメーカーで、少なくとも経営陣には継続性はないのだが、実質的には1つのメーカーである。 どちらもサウンドカードを扱っていた会社であり、Creative Technologyと長く争ったメーカーでもある。いきなりMedia Visionの話をする前に、ま... 続きを読む

ASCII.jp:業界に痕跡を残して消えたメーカー DRAMの独自技術を持ちながらも倒産したQimonda   (1/4)|ロードマップでわかる!当世プロセッサー事情

2016/12/26 このエントリーをはてなブックマークに追加 17 users Instapaper Pocket Tweet Facebook Share Evernote Clip 概観 痕跡 DRAMメーカー ASCII.jp ロードマップ

今年最後の業界に痕跡を残して消えたメーカーシリーズはDRAMメーカーだ。国内でもそれなりに出回っていた Qimonda (キマンダ)を紹介したい。 QimondaのDDR3メモリーモジュール DRAM業界に多くの企業が参入 Qimondaがどんな会社か? という前に、まずはDRAM業界の概観をまとめて説明する。そもそもDRAMというものがどんな仕組みか、という話は 連載95回 で簡単に紹介している... 続きを読む

ASCII.jp:業界に痕跡を残して消えたメーカー 牛柄PCで一世風靡したゲートウェイ (1/3)|ロードマップでわかる!当世プロセッサー事情

2016/07/11 このエントリーをはてなブックマークに追加 228 users Instapaper Pocket Tweet Facebook Share Evernote Clip 前面 ゲートウェイ 痕跡 マーケティング ヒット

CPU/GPUアップデートを数回挟んだので、久々の「業界に痕跡を残して消えたメーカー」編となる。今回は Gateway2000 を取り上げたい。 Gateway GP7-600 田舎くささを前面に押し出す 低コストのマーケティングでヒットを飛ばす! Gateway2000はもともとTed Waitt氏とMike Hammond氏(2015年没)が1985年に創立した会社である。創業した時期を考える... 続きを読む

ASCII.jp:スーパーコンピューターの系譜 民間・軍事に幅広く採用されたCDC 1604 (1/2)|ロードマップでわかる!当世プロセッサー事情

2014/10/06 このエントリーをはてなブックマークに追加 18 users Instapaper Pocket Tweet Facebook Share Evernote Clip 系譜 軍事 民間 スーパーコンピューター ASCII.jp

今回の話をする前に、前々回の記事について補足と訂正をさせていただきます。Intel 8085の動作周波数が3MHzなので、3MIPSとあまり考えずに書いたのですが、完全なパイプライン動作でもない8085はそんなに性能出ませんね。 算術命令では一番早いADDですら、Cycles(条件分岐の判断結果が出るまで)が1cycle、Status(演算結果がStatus Registerに返されるまで)が4c... 続きを読む

ASCII.jp:Pentium 20年の系譜 P6コアのPentium IIからPentium IIIまで (1/3)|ロードマップでわかる!当世プロセッサー事情

2014/07/28 このエントリーをはてなブックマークに追加 34 users Instapaper Pocket Tweet Facebook Share Evernote Clip ASCII.jp ロードマップ

2014年7月にPentiumの20周年記念モデルとして「Pentium G3258」が発売された。そこでこの機会に、Pentium20年の歴史を振り返ってみよう。前回はP5世代を解説したので、今回はP6世代を解説しよう。 P5と平行して開発が進んでいた P6マイクロアーキテクチャー P5コアの開発と並行して、やはりインテル内部ではP6の開発が始まっていた。開発開始は1990年6月で、開発拠点は同... 続きを読む

ASCII.jp:半導体プロセスまるわかり 14nm以降に立ちふさがる大きな壁 (1/3)|ロードマップでわかる!当世プロセッサー事情

2014/07/14 このエントリーをはてなブックマークに追加 40 users Instapaper Pocket Tweet Facebook Share Evernote Clip ASCII.jp ロードマップ

プロセスロードマップの最後は、インテル以外のファウンダリーの、主にロジックプロセスに関する近未来展望を紹介したい。ファウンダリー別に紹介するより、プロセスノード別の方がわかりやすいので、これにそって説明していきたい。 各社の主力となった 28nmプロセスノード 当初の予定を超えて、長く使われることになりそうなのが28nmプロセスノードである。元々業界では32nmノードの開発を予定していたが、インテ... 続きを読む

ASCII.jp:半導体プロセスまるわかり インテルの14nmが遅れる理由 (1/3)|ロードマップでわかる!当世プロセッサー事情

2014/01/13 このエントリーをはてなブックマークに追加 46 users Instapaper Pocket Tweet Facebook Share Evernote Clip インテル nmプロセス Atom 量産 半導体プロセス

今回から半導体プロセスの話をしていこう。まずこれに先立って、2013年11月にインテルが開催した投資家向け説明会の資料をもとに、インテルの14nm以降のプロセスと、これにまつわるビジネスの話を解説していこう。 Atomのロードマップ。次期プロセッサーは14nmプロセスで製造される 量産が約3ヵ月遅れる 14nmプロセスの動向 元々昨年10月に行なわれた2013年第3四半期の決算報告の中で、同社CE... 続きを読む

ASCII.jp:SoC技術論 プロセッサーのワンチップ化が進む理由と仕組み (1/3)|ロードマップでわかる!当世プロセッサー事情

2013/11/04 このエントリーをはてなブックマークに追加 37 users Instapaper Pocket Tweet Facebook Share Evernote Clip プロセッサー ASCII.jp ロードマップ 理由

今回から数回、寄り道をしてSoCを解説したい。スマートフォンやタブレットの広範な普及にともなって、SoCは非常に身近なものになってきた。x86プロッセッサーもSoCに向けて急速に舵を切っているが、その一方で案外とSoCそのものの話は説明していない。 近年、プロッセッサーはSoC化が加速している ARMベースのSoCの代表的な製品は以前連載82回から85回で簡単に説明したが、ここでもあまり根本的な話... 続きを読む

ASCII.jp:USB 3.1が発表、USB 3.0からなにがどう変わる? (1/3)|ロードマップでわかる!当世プロセッサー事情

2013/08/12 このエントリーをはてなブックマークに追加 58 users Instapaper Pocket Tweet Facebook Share Evernote Clip SATA-IO USB-IF Serial ATA 規格 両方

7月から8月にかけて、USBとSerial ATAの両方が最新の規格を発表した。まず7月31日にUSBの標準化をつかさどるUSB-IFがUSB 3.1を、そして8月8日にはSerial ATAの標準化団体のSATA-IOがSATA Revision 3.2をリリースしている。 USB2.0をさらに高速化したUSB3.0規格のケーブル。色も黒から青に変更された。はたしてUSB 3.1ではどうなる? ... 続きを読む

ASCII.jp:チップセット黒歴史 開発の遅れで転落したApollo MVP4 (1/3)|ロードマップでわかる!当世プロセッサー事情

2013/04/01 このエントリーをはてなブックマークに追加 10 users Instapaper Pocket Tweet Facebook Share Evernote Clip via 顛末 ASCII.jp ロードマップ 投入

今回はVIAのVT8501こと「Apollo MVP4」の話をご紹介したい。このチップセットは、市場への投入が遅れたことでシェアを失ったという点で、前回のSiS630と非常によく似た顛末をたどっている。 VIA製チップセット「Apollo MVP4」のノースブリッジ「VT8501」 やや話が飛ぶが、SiS630の黒歴史でも「実際にはSiS630を搭載した製品は結構あった」、「後継製品も出ている」と... 続きを読む

 
(1 - 22 / 22件)